ACR: APPLICATION AWARE CACHE REPLACEMENT FOR SHARED CACHES IN MULTI-CORE SYSTEMS

Abstract

Modern multi-core systems allow concurrent execution of different applications on a single chip. Such multicores handle the large bandwidth requirement from the processing cores by employing multiple levels of caches with one or two levels of private caches along with a shared last-level cache (LLC). In shared LLC, when applications with varying access behavior compete with each other for space, conventional single core cache replacement techniques can significantly degrade the system performance. In such scenarios, we need an efficient replacement policy for reducing the off-chip memory traffic as well as contention for the memory bandwidth. This paper proposes a novel Application-aware Cache Replacement (ACR) policy for the shared LLC. ACR policy considers the memory access behavior of the applications during the process of victim selection to prevent victimizing a low access rate application by a high-access rate application. \textcolor{red}{ It dynamically tracks the maximum life-time of cache lines in shared LLC for each concurrent application and helps in efficient utilization of the cache space. Experimental evaluation of ACR policy for 4-core systems, with 16-way set associative 4MB LLC, using SPEC CPU 2000 and 2006 benchmark suites shows a geometric mean speed-up of 8.7% over the least recently used (LRU) replacement policy. We show that the ACR policy performs better than recently proposed thread-aware dynamic re-reference interval prediction (TA-DRRIP) and protecting distance based (PDP) techniques for various 2-core, 4-core and 8-core workloads.

Authors and Affiliations

TRIPTI S WARRIER

Keywords

Related Articles

IoT BASED HOME AUTOMATION USING RASPBERRY PI

This paper presents the design of the low cost home automation system using the IoT(Internet of Things) technology along with the feature of speech recognition. The Internet of things (IoT) is the inter-networking of p...

A ROBUST ORGANIZATIONAL POLICY FOR TASK AND RESOURCE ALLOCATION: A NOVEL FRAMEWORK

In a Multi-Agent System existing formalisms for implementing organizational policies assign specific roles to each agent. Examples are hierarchical organization, contract net protocol, social reasoning mechanism, and t...

ALGORITHMIC APPROACH FOR DOMINATION NUMBER OF UNICYCLIC GRAPHS

Let 𝐺(𝑉, 𝐸) be a unicyclic graph. A unicyclic graph is a connected graph that contains exactly one cycle. A dominating set of a graph G = (V, E) is a subset D of V, such that every vertex which is not in D is adjacent...

TOWARDS ENERGY EFFICIENT CLOUD COMPUTING USING DEPENDENCY GRAPH

Nowadays, research are being directed in the field of large system models. These large system models generally manages the distributed environment to break down and understand behavior of the systems. A large number of...

REVIEW ON CLUSTERING CANCER GENES

Present studies, development of genomic technologies are highly concentrated on galactic scale gene data. In Bioinformatics community, the sizable volume of gene data investigation and distinguishing the behavior of ge...

Download PDF file
  • EP ID EP46550
  • DOI 10.34218/IJCET.10.2.2019.023
  • Views 173
  • Downloads 0

How To Cite

TRIPTI S WARRIER (2019). ACR: APPLICATION AWARE CACHE REPLACEMENT FOR SHARED CACHES IN MULTI-CORE SYSTEMS. International Journal of Computer Engineering & Technology (IJCET), 10(2), -. https://europub.co.uk/articles/-A-46550