Mobility based Net Ordering for Simultaneous Escape Routing

Abstract

With the advancement in electronics technology, number of pins under the ball grid array (BGA) are increasing on reduced size components. In small size components, a challenging task is to solve the escape routing problem where BGA pins escape towards the component boundary. It is often desirable to perform ordered simultaneous escape routing (SER) to facilitate area routing and produce elegant Printed Circuit Board (PCB) design. Some heuristic techniques help in finding the PCB routing solution for SER but for larger problems these are time consuming and produce sub-optimal results. This work propose solution which divides the problem into two parts. First, a novel net ordering algorithm for SER using network theoretic approach and then linear optimization model for single component ordered escape routing has been proposed. The model routes maximum possible nets between two components of the PCB by considering the design rules based on the given net ordering. Comparative analysis shows that the proposed net ordering algorithm and optimization model performs better than the existing routing algorithms for SER in terms of number of nets routed. Also the running time using proposed algorithm reduces to O(2NE=2) + O(2NE=2) for ordered escape routing of both components. This time is much lesser than O(2NE) due to exponential reduction.

Authors and Affiliations

Kashif Sattar, Aleksandar Ignjatovic, Anjum Naveed, Muhammad Zeeshan

Keywords

Related Articles

A Literature Review on Medicine Recommender Systems

Medicine recommender systems can assist the medical care providers with the selection of an appropriate medication for the patients. The advanced technologies available nowadays can help developing such recommendation sy...

A Memetic Algorithm for the Capacitated Location-Routing Problem

In this paper, a hybrid genetic algorithm is proposed to solve a Capacitated Location-Routing Problem. The objective is to minimize the total cost of the distribution in a network composed of depots and customers, both d...

Using Artificial Intelligence Approaches to Categorise Lecture Notes

Lecture materials cover a broad variety of documents ranging from e-books, lecture notes, handouts, research papers and lab reports amongst others. Downloaded from the Internet, these documents generally go in the Downlo...

Profile-Based Semantic Method using Heuristics for Web Search Personalization

User profiles play a critical role in personalizing user search. It assists search systems in retrieving relevant information that is searched on the web considering the user needs. Researchers presented a vast number of...

LSSCW: A Lightweight Security Scheme for Cluster based Wireless Sensor Network

In last two decades, Wireless Sensor Network (WSN) is used for large number of Internet of Things (IoT) applications, such as military surveillance, forest fire detection, healthcare, precision agriculture and smart home...

Download PDF file
  • EP ID EP260623
  • DOI 10.14569/IJACSA.2017.080762
  • Views 60
  • Downloads 0

How To Cite

Kashif Sattar, Aleksandar Ignjatovic, Anjum Naveed, Muhammad Zeeshan (2017). Mobility based Net Ordering for Simultaneous Escape Routing. International Journal of Advanced Computer Science & Applications, 8(7), 452-461. https://europub.co.uk/articles/-A-260623